General Category > General Discussion

FT232H synchronous 245 FIFO slow Rate from FPGA to PC

<< < (2/2)

FTDI Community:
Hello,

FTDI FIFO Basics has a fully tested example that is compatible with all FTDI devices using FIFO mode. You should try to get this example running first. 

The following documents should help you too:

AN_130 FT2232H Used in an FT245 Style Synchronous FIFO Mode
AN_165 Establishing Synchronous 245 FIFO Communications using a Morph-IC-II
Optimizing D2xx Data Throughput
AN232B-04 Data Throughput, Latency and Handshaking



Best regards,
FTDI Community

Navigation

[0] Message Index

[*] Previous page

Go to full version