FTDI Community

General Category => Discussion - Software => Topic started by: Adam_L on August 31, 2018, 06:46:53 AM

Title: something about FT232H in 245 FIFO mode
Post by: Adam_L on August 31, 2018, 06:46:53 AM
Im a new guy in the community, Thanks for bigman in there first
I met a problem in the transfer data from FT232 to FPGA by VB6.0. because the VB6.0 can't code the ASCii which is from 128-255 correctly, so the data down to the FPGA is wrong.
The same from FPGA to FT232H, the data from 128-255 can't be read by the VB6.0, So how I can solve the problem?
Title: Re: something about FT232H in 245 FIFO mode
Post by: FTDI Community on September 03, 2018, 04:35:39 PM
Hello,

Please see some simple Visual Basic examples here:

http://www.ftdichip.com/Support/SoftwareExamples/CodeExamples/VB.htm (http://www.ftdichip.com/Support/SoftwareExamples/CodeExamples/VB.htm)

We have not encountered this particular issue before, maybe someone else in this community will help if they have seen this issue before.

You may also be interested in TN_167 FTDI FIFO Basics (http://www.ftdichip.com/Support/Documents/TechnicalNotes/TN_167_FIFO_Basics.pdf).

Best Regards,
FTDI Community