FTDI Community

Please login or register.

Login with username, password and session length.
Advanced Search  

News:

Welcome to the FTDI Community!

Please read our Welcome Note

Technical Support enquires
please contact the team
@ FTDI Support


New Bridgetek Community is now open

Please note that we have created the Bridgetek Community to discuss all Bridgetek products e.g. EVE, MCU.

Please follow this link and create a new user account to get started.

Bridgetek Community

Show Posts

You can view here all posts made by this member. Note that you can only see posts made in areas to which you currently have access.

Messages - abenitez

Pages: [1]
1
General Discussion / Re: Problem communicating PC and FPGA with UM245R
« on: March 13, 2021, 10:43:01 PM »
Hi, thanks for the reply. I have been using the TN 167 FIFO Basics, in fact, I used its FT_Write and FT_Read examples for my project. I think I narrowed a little bit more my problem, I got an osciloscope and design my example to transmit byte per byte one way (PC-FPGA) or the other (FPGA-PC) in order to see the states of RXF and TXE flags, and they do not behave as expected and as the datasheet and the TN 167 say. The TXE flag is always high (and even with this state there is transmision of data, I do not know how) and in the other example project the RXF flag is always low and the data is transmitted under this conditions. When the change of state occurs (a low for TXE or a high for RXF) the transmision stops inmediately, being impossible to re-startit. I would like to say that I configured the transmision of each byte for these examples every 1 second, so I could be able to see the change of states of both signals. The problem is still there, I do not know why.

2
General Discussion / Problem communicating PC and FPGA with UM245R
« on: March 10, 2021, 11:53:41 PM »
Hi everyone,
I have been trying for days to communicate an FPGA and a PC through the UM245R. The programming language that I use in the FPGA is VHDL, and in the PC I use the LabWindows software that programs in C. I am wanting to transmit data from the PC to the FPGA, for which I am using the D2XX library for FIFO Asynchronous communication. I have already achieved communication and data transmission, but sometimes the transmission is cut off, that is, not all the bytes are transmitted (this happens quite frequently) and I don't know what might be happening. I have simulated the VHDL code in ModelSim and it behaves as expected, while I have relied on the Ft_Write function for data transmission in LabWindows, I have even used code examples provided by manuals and libraries, and this problem remains. Finally, I was looking at the behavior with an oscilloscope of the RXF signal and it never changes state (always remains high) during the transmission of multiple bytes, but when the transmission is truncated this signal goes low. If you could explain any reason for this behavior, I would appreciate it.

Pages: [1]